In this article we will discuss about Free EDA/VLSI tool. You read right....all the tools are FREE and you can run them on computers with regular configuration. Wow !
Yessss.....its true!
We all are very familiar with Mozilla Firefox. Its a free web browser. Free softwares are available in every domain. There are so many EDA/VLSI free tools that can help you to learn basics of the flow. Many of such tools are very popular for years among VLSI aspirants and industry freshers. We have listed such 15 tools.
Today we will discuss about those tools and their key features.
VLSI design is a serious business and if you are wondering whether free tools can help you to learn it - Answer is a big YES. They are real good to start your learning.
Dedicated team of developers are behind such tools. They work real hard to keep their tools up to date. So these free tools are feature packed and also have regular release like their commercial counterpart. Commercial tools are high on accuracy and efficiency as per industry standard and of course comes with huge price tags for license. They need desktop or laptop with costly high end configuration to run. Affording such setup and license is impossible for a student. On the other hand free tools are free, support regular home PC or laptop configuration, support different OS, loaded with updated features, user manual available, there are forums where you can discuss issues related to it.
The GNU General Public License (GNU GPL or simply GPL) is a series of widely used free software licenses that guarantee end users the four freedoms to run, study, share, and modify the software.
1. ICARUS VERILOG
Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. Its a command line tool. So u have to use it from command prompt. The simulator can do batch simulations. The main compiler is written by Stephen Williams. Icarus Verilog is a work in progress and new versions releases time to time.There is also a test suite available with the simulator
2. GHDL
GHDL is an open-source simulator for the VHDL language. Its a command line tool. So u have to use it from command prompt. GHDL fully supports the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard. GHDL uses a code generator and is much faster than any interpreted simulator. GHDL runs on Linux, Windows and Apple OS X. You can freely download a binary distribution for your OS or try to compile GHDL on your own machine.
3. VIVADO
Vivado Design Suite is a software suite produced by Xilinx for synthesis and analysis of hardware description language (HDL) designs. It has a graphical user interface. So you can run it like any windows software. It has superseded Xilinx ISE with additional features for system on a chip development and high-level synthesis. Vivado represents a ground-up rewrite and re-thinking of the entire design flow (compared to ISE). Vivado includes the in-built logic simulator. Vivado also introduces high-level synthesis, with a tool chain that converts C code into programmable logic.
4. LT Spice
Ltspice is high performance SPICE simulator software. It has a graphical user interface. So you can run it like any windows software. It has a graphical schematic capture interface. Schematics can be probed to produce simulation results. LTspice’s enhancements and models improve the simulation of analog circuits when compared to other SPICE solutions. Suppoerted across all OS.Instructional videos, Tips and articles are available. LTspice Forum in Engineer Zone to ask questions.
5. NGSPICE
NGSPICE is the open source spice simulator for electric and electronic circuits. Its a command line tool. So u have to use it from command prompt. NGSPICE supports digital circuit simulation, single and complex simulation, mixed-signal circuit. NGSPICE offers a wealth of device models for active, passive, analog, and digital elements. User can add his circuits as a netlist, and the output is one or more graphs of currents, voltages and other electrical quantities or is saved in a data file. Third party interfaces available. NGSPICE is PSPICE or LTSPICE compatible. NGSPICE also read HSPICE device libraries from semiconductor foundry PDKs for simulating integrated circuits. NGSPICE builds on many operating systems like Windows , macOS, all popular Linux distributions, Cygwin or FreeBSD. The F.A.Q. and documentation pages provide a lot of information, a detailed manual is available. Mailing lists, discussion forums and a bug tracker are offered.
6. GTKWAVE
GTKWave is a VCD waveform viewer based on the GTK library. This viewer support VCD and LXT formats for signal dumps. Supports different OS like Unix, Win32, and Mac OSX which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Documentation in pdf format is available. For svn access to the experimental, pre-release sourcetree ink is on Sourceforge website. GTKWave is a simple, lightweight application. It is based on George Moody's original WAVE for Unix. TheWAVE User's Guide is the primary documentation for GTKWave.The program is designed to help the IC designer view the signal transitions on the established timeline, as well as the interaction of signals from different designs. It can easily read and translate the hardware description language in waveforms. GTKWave features a GUI, but for users who work faster in Command Line, the program can also be thus controlled. You can run the Help command to view all the supported arguments.
7. Open-Timer
OpenTimer is a static timing analysis (STA) tool to help IC designers quickly verify the circuit timing. Its a command line tool. So u have to use it from command prompt. It is developed completely from the ground up using C++17 . Efficiently support parallel and incremental timing. Open Timer supports industry standard format (.lib, .v, .spef, .sdc) , provides Graph- and path-based timing analysis, supports Parallel incremental timing for fast timing closure. Open Timer has a unique software architecture to efficiently enable parallel incremental timing.
8. Magic Layout
Magic is a VLSI layout tool, written in the 1980's at Berkeley.Magic has remained popular with universities and small companies largely due to its liberal Berkeley open-source license. It has a graphical user interface. So you can run it like any windows software. Magic is widely cited as being the easiest tool to use for circuit layout design, even for people who ultimately rely on commercial tools for their product design flow. Magic version 8.3 is the official current released version of the program, a combined effort of the "Magic Development Team". Magic features real-time design rule checking. Magic currently runs under Linux, although versions exist for DOS, OS/2, and other operating systems. Magic is frequently used in conjunction with IRSIM[3] and other simulation programs.
9. TK Gate
TkGate is a event driven digital circuit simulator with a tcl/tk-based graphical editor. It has a graphical user interface. So you can run it like any windows software. TkGate supports a wide range of primitive circuit elements as well as user-defined modules for hierarchical design. The distribution comes with a number of tutorial and example circuits which can be loaded through the "Help" menu. The example circuits include a simple CPU, programmed to run the Animals game. For more information, check out the documentation. TkGate is free software provided with source code under the GNU GPL.
10 . QUCS
QUCS stands for Quite Universal Circuit Simulator. QUCS is a free-software released under GPL. It has a graphical user interface. So you can run it like any windows software. It offers the ability to set up a circuit with a graphical user interface and simulate the large-signal, small-signal and noise behavior of the circuit. Qucs supports a growing list of analog and digital components as well as SPICE sub-circuits. Some versions of QUCS can simulate verilg A. The Qucs GUI is well advanced and allows setting up schematics and presenting simulation results in various types of diagrams. DC, AC, S-parameter, noise and transient analysis is possible, mathematical equations and use of a sub-circuit hierarchy (with parameterized sub-circuits) are available. Qucs comes with an array of components and models. Third party models including HICUM, BSIM2, BSIM3 and BSIM6 can be compiled and loaded into the simulator. Qucs is currently developed under the GNU/Linux OS .Variant of QUCS are available on winows nd MAC OS. Qucs is released under the GPL license.
11. E-Sim
eSim is a free/libre and open source EDA tool for circuit design, simulation, analysis and PCB design. It is an integrated tool built using free/libre and open source software such as KiCad, Ngspice, Verilator, Makerchip, and GHDL. eSim is released under GPL. This tool was previously known as Oscad / FreeEDA. It has a graphical user interface . So you can run it like any windows software. eSim offers similar capabilities and ease of use as any equivalent proprietary software for schematic creation, simulation and PCB design.
eSim is able to :
i. draw circuits using KiCad, create a netlist and simulate using Ngspice
ii. design PCB layouts and generate Gerber files using KiCad,
iii. add/edit device models(Spice Models) and sub-circuits using the Model Builder and sub-circuit Builder tools.
iv. perform Mixed-Signal Simulation.
v. support for Ubuntu and Windows OS.
12. Electric
Electric VLSI Design System is an opensource IC Design tool.The tool is written in Java. Electric is a sophisticated electrical CAD system that can handle many forms of circuit design, including custom IC layout (ASICs), schematic drawing, hardware description language specifications, and electro-mechanical hybrid layout. This is a very good tool from academic point of view where we mostly need to build the circuit for learning purpose. Electric can also handle VHDL and Verilog. It has several analysis and synthesis tools like Design Rule Checking (DRC), Simulation, Routing, Layout vs. Schematic (LVS), Logical Effort etc. Runs on all major operating system. Licenced under GNU GPL
13. GNU Octave
GNU Octave is a high-level programming language. Intended for scientific computing and numerical computation. Octave helps in solving linear and nonlinear problems numerically. It may also be used as a batch-oriented language. It is free software under the terms of the GNU General Public License. Powerful mathematics-oriented syntax with built-in 2D/3D plotting and visualization tools. Runs on GNU/Linux, macOS, BSD, and Microsoft Windows
14. Open Road
The OpenROAD project was launched in June 2018 within the DARPA IDEA program. OpenROAD aims to bring down the barriers of cost, expertise and unpredictability that currently block designers’ access to hardware implementation in advanced technologies. The project team is developing a fully autonomous, open-source tool chain for digital SoC layout generation, focusing on the RTL-to-GDSII phase of system-on-chip design. The OpenROAD Project has two releases:
1. Application : The application is a standalone binary capable of performing RTL-to-GDSII SoC design, from logic synthesis and floorplanning through detailed routing with metal fill insertion, signoff parasitic extraction and timing analysis.
2. FLOW : OpenROAD Flow is a full RTL-to-GDS flow built entirely on open-source tools. The project aims for automated, no-human-in-the-loop digital circuit design with 24-hour turnaround time.
• For System requirements and supported file formats please check the documentation.
Hope this article will help you. Watch Video Lecture on this topic here :
Courtesy : www.pngegg.com ; Andrea Piacquadio from www.pexels.com