Nov 7, 2022

Post Silicon Validation

In this article we will discuss about post Silicon Validation and carrier growth in the domain.


What is post Silicon Validation :

Post-silicon validation and debug is the last step in the IC development cycle.

Post-silicon validation includes validation of both functional and timing behavior as well as non-functional requirements. Validation includes different tasks such as functional correctness, adherence to power and performance constraints for target use-cases, tolerance for electrical noise margins, security assurance, robustness against physical stress or thermal glitches in the environment, and so on. Validation is acknowledged as a major bottleneck in system-on-chip (SoC) design methodology. It accounts for an estimated 70 per cent of overall time and resources spent on SoC design validation.

How post-Si validation differ from pre-Si validation :

During the pre-silicon process, engineers test devices in a virtual environment with sophisticated simulation, emulation, and formal verification tools whereas in post-silicon validation tests occur on actual devices running at-speed in commercial, real-world system boards using logic analyzer and assertion-based tools.

Teams in post-Si Validation :

The overall goal of post silicon validation is to make sure that the chip is working as per specification and ready to be in use. Post silicon validation team primarily consist of sub teams and  they complete following tasks before final deployment of the chip : 

    1. Development of functional test pattern and validation.

    2. Enabling functional and stress testing of features by creation of test programs and test software 

    3. Validation with real case applications, OS boot and stress testing

    4. Performance validation with industry standard benchmarks

    5. Characterization of electrical and thermal parameters following device specification

Post-silicon validation team do a lot of above activities on an emulator and this will need separate skills and working closely with other teams. Post silicon validation team work very closely with Software development and testing teams , hardware design and architects, pre-silicon verification teams. Post-silicon validation is a major bottleneck in SoC design methodology. It takes more than 50 per cent SoC overall design effort. Now-a -days SoC design complexity has increased and time-to-market has decreased. It is not possible to detect all design flaws during pre-silicon validation. Validation is clearly a crucial and challenging problem as far as diversity and criticality of designs arising due to new, multitasking and multi mode devices are coming into regular use. 

The objective of post-silicon validation is to ensure that the silicon design works properly under actual operating conditions while executing real software, and identify and fix errors that may have been missed during pre-silicon validation.  Post-silicon validation is done to capture escaped functional errors as well as electrical faults. Modern embedded computing devices are generally architected through an SoC design paradigm. An SoC architecture includes a number of pre-designed hardware blocks of well-defined functionality, often referred to as intellectual properties (IPs). These IPs communicate and coordinate with each other through a communication fabric or network-on-chip.

Challenge in post-Si validation :

When the new IPs/Products are emerging validation matrix need to be updated and new validation frame need to created to cover all the corner cases. There is no finite set of test cases/validation matrix to frame. An Engineer can frame test cases/validation matrix  depending on in-depth knowledge of IP/SoC and its ecosystem and customer use cases. All effort leads to ‘Bug free silicon’ to customer. 

If you are working in post silicon validation , you can gather experience and  grow to any level in post-silicon validation. With years of experience you can become a ‘Validation Architect’ or try to invent something which can help the  validation life cycle or to find the bugs more efficiently. Meanwhile learn as much as possible about the design and the features that you are working on . This experience will make you an awesome architect.

We have seen people doing post Silicon Validation for many years and happy with their work. Every job role has challenge  and scope to grow. If you keep updating yourself with domain knowledge you will find the significance of your job role and enjoy the process. Post silicon validation scenario is changing with better emulation and pre-season, although there will always be scope for work and growth.

The video lecture on this article can be seen here :








Courtesy : Mikhail Nilov ; Rfstudio from www.pexels.com