Showing posts with label VLSI General Knowledge. Show all posts
Showing posts with label VLSI General Knowledge. Show all posts

Jul 2, 2024

Clock Tree Synthesis in VLSI


This article covers a broad range of topics related to clock tree synthesis (CTS) in VLSI design flow. The discussion begins with an introduction to CTS and its significance in VLSI design, followed by an overview of pre- and post-CTS diagrams. The various levels involved in the CTS process and the CTS methodology are also explained, along with the role of CTS in EDA tools.

Clock distribution and routing is important in digital design as it impacts 
Power, Performance and Area (a.k.a PPA) of the SOC design. The Clock Tree Synthesis (CTS) process impacts maximum operating clock frequency through the clock skew. Process Variation generated race-around conditions are avoided through CTS. In test mode, many flip-flops are hooked together to form a scan chain. The skew requirements of scan-chain are stringent for the scan clock tree as every path during test mode is a short  path. The clock tree network is responsible for a significant amount of power dissipation as it switches most frequently. Clock trees are good candidates for low power VLSI designs.

CTS in VLSI Design Flow:

Now lets see exactly where in the VLSI flow CLOCK Tree Synthesis is done. First take a look at the VLSI Design Flow. 

From above flow we can understand that CTS is done in between Placement and Routing. 

Clock Tree Synthesis :
Clock spine routing scheme with all clock pins driven directly from the clock driver. FPGAs often use this fish bone type of clock distribution scheme. A clock spine for a gate array.
A clock spine for a cell-based ASIC. Typical chips have thousands of clock nets. A clock spine is usually driven from one or more clock-driver cells. Delay in the driver cell is a function of the number of stages and the ratio of output to input capacitance for each stage (taper). Clock latency and clock skew. An Engineer tends to minimize both latency
and skew.

Pre & Post CTS : 



There is a clock root and without CTS clock signal goes to each end leaf cells or FlipFlops directly from clock root. After CTS there are number of buffers inserted so that proper power management could be done.  

Various Levels During CTS:


Above diagram shows
 various levels of the clock network during CTS. Synthesizing required intermediate buffers are the basic objective of CTS process.  

CTS Methodology:
In order to reduce iterations & TAT , clock tree designs methodologies are developed by engineers. This can consist of sub steps : design of the tree structure, layouting the clock tree and synchronization of multiple trees of the same clock source. 
In details the steps can be :
1. Generate Netlist with Specific Number of Receivers in              Clock Tree.
2. Design the tree structure from bottom up process.
3. Use the layout tool features to form the clock tree.
4. Through analysis of delay and skews for all clock nets.
5. Iterate with correction until target is achieved.


CTS In EDA Tool:


EDA toold are used for CTS. Clock Specification File is used. Spec generation option is also available there.
Above diagram shows EDA tool GUI.

Watch the video lecture here:



Courtesy: Image by www.pngegg.com

                  

Jun 8, 2024

What is the definition of VLSI design? What is the average salary for this profession?

 


VLSI (Very Large Scale Integration) design is the process of designing integrated circuits (ICs) by combining thousands or millions of transistors onto a single chip. The design process involves various stages such as architecture design, logic design, circuit design, and physical design. VLSI design is a highly specialized field that requires advanced knowledge of electronics, computer engineering, and mathematics.

Here is the ecosystem of VLSI.

The average salary for a VLSI designer can vary widely depending on their experience, location, and the company they work for. According to Glassdoor, the average base salary for a VLSI design engineer in the United States is around $105,000 per year, with salaries ranging from $80,000 to $135,000 per year. However, senior VLSI design engineers with many years of experience and expertise can earn significantly more than this average. Additionally, salaries for VLSI designers in other countries may differ from those in the United States.

For Salary In India (General Idea) watch this video.

For Compny specific CTC watch this video

What is easy to learn in VLSI : frontend or backend?



Both frontend and backend design in VLSI have their own challenges and complexities, and it ultimately depends on the individual's prior knowledge, skills, and interests to determine which area is easier for them to learn.

In general, frontend design in VLSI involves designing the digital logic of the system using Hardware Description Languages (HDLs) like Verilog or VHDL. This includes tasks such as designing and verifying logic circuits, simulation, and RTL coding. Frontend design requires a good understanding of digital circuits and programming skills.

On the other hand, backend design in VLSI involves physical design aspects such as floor planning, placement, routing, and timing analysis. Backend design requires knowledge of the fabrication process and an understanding of how the physical layout affects the performance of the design.

Both frontend and backend design are essential for developing VLSI systems. However, if you have experience in programming and digital circuits, frontend design may be easier for you to learn. If you have a background in physics and are interested in physical design, then backend design may be a better fit.

Here is a guide to choose between frontend and backend.



COurtesy: Image by www.pngegg.com

What Is VLSI Design ?


VLSI design is a complex and highly specialized field that involves the creation of integrated circuits (ICs) that contain millions or even billions of electronic components on a single chip. These components can include transistors, diodes, resistors, capacitors, and other types of components that are commonly used in electronic circuits.

The VLSI design process consists of several stages, each of which requires a deep understanding of electronic theory, EDA computer-aided design (EDA-CAD) tools, and specialized manufacturing processes. The first stage is design specification, where engineers work with clients or project stakeholders to define the specific requirements and goals for the chip.

Once the design specifications are established, the circuit design stage begins. This involves using CAD tools to create a detailed layout of the circuit, including the placement and interconnections of each component. Logic verification follows, which is a critical step in ensuring that the circuit operates correctly and meets the design specifications.

Physical design is the next stage, where engineers create a layout that takes into account the physical constraints of the chip, including the size, power consumption, and thermal considerations. This is a highly technical and specialized process that requires extensive knowledge of manufacturing techniques, materials science, and physical modeling.

You can choose between 

FrontEnd(RTL Coding and Logic Verification) or BackEnd(Physical Design) based on your inclination : 

Try to understand various VLSI job role : here 

Finally, fabrication involves the actual production of the chip using specialized manufacturing processes such as lithography, deposition, etching, and polishing. These processes require sophisticated equipment and highly skilled technicians to ensure that the chip meets the design specifications and is free of defects.

You can enbroden your view of the VLSI Ecosystem :  here 

Overall, VLSI design is a critical technology that has enabled the creation of smaller, faster, and more powerful electronic devices. It has revolutionized the field of electronics and continues to be an important area of research and development for the semiconductor industry.

Apr 9, 2024

How to Choose Career Path in VLSI?




Choosing a career path in VLSI can be a challenging decision, although there are some steps that can help you make an informed decision:

Research the field: Start by researching the field of VLSI to gain a better understanding of the various roles and job opportunities available. Learn about the industry trends, the types of companies that hire VLSI professionals, and the skills required for different roles.

Understand VLSI Ecosysm First : Click Here

Then Understand ,Various VLSI Job Domains spread accross all these companies : Click Here


Identify your interests and strengths and weakness: Consider your interests, strengths, and skills. Determine what type of work you enjoy doing and what areas of VLSI you are most passionate about. Assess your strengths to determine which roles would be the best fit for you.

Match your skillset/interest with VLSI job roles : Click Here 

Choose between frontend or backend : Click here 


Explore career options: Once you have a better understanding of the VLSI field and your own interests and strengths, explore different career options within the industry. Some of the popular career options in VLSI include Verification Engineer, Design Engineer, Physical Design Engineer, Analog/Mixed-Signal Design Engineer, FPGA Engineer, and System-on-Chip (SoC) Engineer.

Then understand Various Job Roles in VLSI thet you can fit into : Click Here 

Gain experience: To gain a better understanding of the field and the roles available, consider gaining practical experience through internships, co-op programs, or entry-level positions. This will help you build your skills, gain exposure to the industry, and make valuable connections.

Start immediately after 10+2 for VLSI : Click Here 

Search and Apply Internship by yourself by this method : 

Click Here

Build your skills: VLSI requires a range of technical skills, including proficiency in programming languages, hardware design, and simulation tools. Consider taking courses, attending workshops, or pursuing additional certifications to build your skills and stay up-to-date with industry trends. Familiarize yourself with the design tools used in VLSI, such as Cadence, Synopsys, or Mentor Graphics. You can use these tools to create and simulate digital circuits. There are many free or open-source tools available, such as,

1. Vivado (Installation: Click Here), 

2. Electric VLSI Design System, 

3. Icarus-Verilog (Installation : Click Here :), 

4. Magic, NGSPICE (Installation :  Click Here

5. OpenTimer (Installtion : Click Here ).

Learn some scripting language,

1. Linux basics for VLSI : Click Here 

2. TCL : Click Here

3. PERL : Click here

4. BASH : Click Here 

Seek guidance: Finally, seek guidance from professionals in the industry or career counselors. They can provide valuable insights into the field and help you make an informed decision about your career path. Additionally, consider networking with professionals in the industry to learn about their experiences and gain insights into the industry.

Join this community for networking : Click Here 

Overall, getting started in VLSI design requires a strong foundation in digital electronics and computer architecture, knowledge of HDLs, familiarity with design tools, practical experience through courses and design projects, and a commitment to continuous learning and practice.



Courtesy: Image by  Johannes Plenio from pexels.com

How to Gain Knowledge about Embedded Systems (VLSI) Projects?

 



There are several ways to gain knowledge about embedded   systems (VLSI) projects:

Online Courses: There are many online courses available on platforms like Coursera, Udemy, and edX, which can teach you the basics of VLSI design, as well as advanced topics such as FPGA design, system-on-chip (SoC) design, and more.

Joining Forums and Online Communities: Joining forums and online communities is a great way to connect with other people interested in embedded systems and VLSI projects. You can ask questions, share ideas, and learn from others who have more experience.

Reading Books and Articles: Reading books and articles is another excellent way to learn about embedded systems and VLSI projects. There are many books available on VLSI design, FPGA design, and SoC design. Additionally, there are many articles and blog posts available online that cover a variety of topics related to embedded systems.

Hands-on Projects: One of the best ways to learn about embedded systems and VLSI projects is through hands-on projects. You can start with simple projects, like designing a simple digital circuit or building a basic microcontroller-based project, and then work your way up to more complex projects.

Attending Workshops and Conferences: Attending workshops and conferences can provide you with an opportunity to learn about the latest trends and advancements in VLSI design. You can also network with other professionals and learn from experts in the field.

Here is a beginners guide to embedded systems  :



Courtesy : Image pixabay

Apr 7, 2024

How to Start mastering in VLSI?


If you're interested in mastering VLSI, here are some steps you can take:

1. Build a strong foundation : VLSI design involves a range of concepts from electrical engineering, computer science, and physics, so it's important to have a solid foundation in these areas. You can start by taking courses in digital and analog circuits, semiconductor physics, programming, and computer architecture. 

In this respect see this video for faster ramp up  : Click Here 

2. Learn VLSI design tools: VLSI design involves the use of specialized software tools such as Cadence, Synopsys, and Mentor Graphics. You can start by learning the basics of these tools through online tutorials, courses, or textbooks. There Are Open Source Tools to Lean at free of cost.

One Such Tool Is Open Timer for STA : Click Here 

But before starting, your linux training must be completed , as all the professional VLSI tools work in linux ONLY : Click Here 

3. Take VLSI design courses: Look for courses that offer in-depth coverage of VLSI design concepts and techniques, such as transistor-level design, layout design, timing analysis, and verification. You may also consider taking courses in related fields such as computer architecture, signal processing, and embedded systems.

4. Join VLSI design projects or internships: Hands-on experience is crucial for mastering VLSI design. You can look for opportunities to work on VLSI design projects in your university or industry. You may also consider applying for internships in semiconductor companies or VLSI design firms.

You can find Internship by yourself in this way : Click Here 

5. Read research papers and attend conferences: To stay up-to-date with the latest developments in VLSI design, you should read research papers published in top conferences and journals such as the International Symposium on VLSI Design and Test (VDAT), IEEE Transactions on VLSI Systems, and ACM Transactions on Design Automation of Electronic Systems.

6. Network with VLSI professionals: Attend industry events, meetups, and conferences to network with professionals in the VLSI field. You can also join online communities such as LinkedIn groups or forums to connect with other VLSI enthusiasts and experts. You must open a LinkedIn Account for this purpose.

Remember, mastering VLSI design requires a combination of theoretical knowledge, practical experience, and passion for the field. With dedication and hard work, you can become a skilled VLSI designer and contribute to the advancement of the electronics industry.



Courtesy : Image by Jeremy Waterhouse from pexels

How to Search Job after internship?

 


Firstly, congratulations on internship experience ! You have gained valuable skills and knowledge during this time, which can be leveraged to find your next job.

Here are some suggestions to help you in your job search:

Leverage your network: Reach out to your former colleagues, classmates, professors, and other professionals in your field. Let them know that you are searching for a job and ask if they have any leads or know of any opportunities.

Apply for entry-level positions: Many companies offer entry-level positions for recent graduates and individuals with little to no work experience. Even if the job listing specifies that they prefer some experience, you should still apply if you meet the other qualifications.

Look for intern-to-hire programs: Some companies offer intern-to-hire programs, which can be a great way to transition into a full-time position. Check with Synopsys to see if they offer such a program or if they know of other companies that do.

Highlight your skills and accomplishments: In your resume and cover letter, focus on the skills and accomplishments you gained during your internship. Including your internship experience in your CV can help you showcase your skills and abilities to potential employers. Here are some tips on how to effectively include your internship experience in your CV:

Start with a clear and concise summary: Begin your CV with a brief summary that highlights your key skills, experience, and achievements, including your internship experience. This will give potential employers a quick overview of your qualifications.

Use a reverse-chronological format: List your internship experience in reverse-chronological order, starting with the most recent one. This will make it easy for employers to see your most recent experience first.

Include relevant details: For each internship, provide the company name, job title, location, and dates of employment. Also, include a brief description of your responsibilities and accomplishments during the internship. Be sure to highlight any skills or accomplishments that are relevant to the job you are applying for.

Quantify your achievements: Where possible, use specific numbers or data to demonstrate your achievements during your internship. For example, if you helped increase website traffic or social media followers, include the percentage of growth you achieved.

Use action verbs: Begin each bullet point with an action verb to describe your accomplishments during your internship. This will make your CV more dynamic and engaging.

Tailor your CV to the job description: Make sure to tailor your CV to the specific job you are applying for. Highlight the skills and experience that are most relevant to the job, and use keywords from the job description.

Remember to keep your CV clear, concise, and focused on your achievements and skills. By effectively highlighting your internship experience, you can demonstrate your value to potential employers and increase your chances of getting hired.

Keep learning: Consider taking online courses or attending webinars to keep learning and improving your skills. This will show potential employers that you are proactive and dedicated to your field.

Remember, finding a job can be a lengthy process, but don't get discouraged. Stay positive, keep networking, and keep applying to jobs. Good luck!


Courtesy : Image by Malachi Witt from Pixabay

Apr 6, 2024

How to Approach Companies for Internships / Jobs in VLSI ?

 



Approaching companies for internships or jobs in VLSI can be done through several steps:

1. Identify the companies you are interested in: Research companies that are involved in VLSI design and manufacturing, and make a list of those that interest you. You can use resources such as online job boards, company websites, or industry associations to find potential employers.

2. Tailor your resume and cover letter: Customize your resume and cover letter to highlight your skills and experience that are relevant to VLSI. Be sure to emphasize any coursework, projects, or internships you have completed that demonstrate your knowledge and skills in this field.

3. Network: Connect with individuals in the VLSI industry through social media, professional associations, and alumni networks. Networking can help you learn about job openings and make valuable connections. Open a LinkedIn Account for professional networking.

4. Apply for internships or jobs: Apply for internships or jobs through the company's website or through job boards such as LinkedIn, Indeed, or Glassdoor. Be sure to follow the application instructions carefully and provide all required materials.

Use this as ready guide : Click Here

5. Follow up: After submitting your application, follow up with the company to express your interest and ask about the status of your application. This demonstrates your enthusiasm for the position and can help you stand out from other candidates. 

During this phase deal with the stress in this way : Click Here

Overall, approaching companies for internships or jobs in VLSI requires preparation, networking, and persistence. By tailoring your resume and cover letter, connecting with industry professionals, and applying for positions, you can increase your chances of landing an internship or job in this exciting field.


Courtesy : Image by Diema from Pixabay

How Analog VLSI Design, Digital VLSI Design and Analog-Digital Mixed Signal Design different from each other ?




Analog VLSI design, digital VLSI design, and analog-digital mixed-signal (ADMS) design are all important aspects of modern integrated circuit (IC) design. Each of these design approaches has its unique features and design challenges. Here's a brief comparison of the three:

Analog VLSI Design: Analog VLSI design deals with the design of analog circuits that perform continuous signal processing tasks. Analog circuits are used in a wide range of applications, including signal amplification, filtering, and power management. The design of analog circuits requires a deep understanding of semiconductor physics and device behavior, as well as an ability to deal with non-linearities and noise. Analog VLSI designers must also be skilled in layout design, as physical layout can have a significant impact on circuit performance.

Digital VLSI Design: Digital VLSI design is concerned with the design of digital circuits that perform discrete signal processing tasks. Digital circuits are used in a wide range of applications, including computation, communication, and control. Digital circuits are based on binary logic and are designed using high-level hardware description languages (HDLs) such as Verilog or VHDL. Digital VLSI designers must be skilled in digital logic design, timing analysis, and verification, as well as physical layout.

Learn About Digital VLSI Domains : Click Here 

Learn more about Analog and Digital Physical Design : Click Here

Analog-Digital Mixed Signal Design: ADMS design involves the integration of both analog and digital circuits on a single chip. This approach is becoming increasingly important as more and more applications require both analog and digital signal processing capabilities. ADMS designers must be skilled in both analog and digital design, as well as the techniques required to interface between the two domains. ADMS design is particularly challenging because the performance of analog circuits can be affected by digital circuitry on the same chip, and vice versa.

Learn More About , Analog and AMS VLSI Domains : Click Here 

In summary, analog VLSI design, digital VLSI design, and analog-digital mixed-signal design are all important aspects of modern IC design. Analog VLSI designers focus on continuous signal processing tasks, digital VLSI designers on discrete signal processing tasks, and ADMS designers must be skilled in both analog and digital design. Each of these approaches has its unique features and design challenges, and the choice of approach depends on the specific requirements of the application.


Courtesy: Image by Dmitry Steshenko from Pixabay

How to get a VLSI job off-campus ?




Many times we have faced a common question from freshers whether it is possible to get a VLSI job through off-campus placement. Yes, it is possible, although it may require more effort and time on your part to find suitable job openings and apply for them. 

Here are some tips to help you in your job search:

1. Build your skills: Make sure you have a strong foundation in VLSI design, verification, and testing. Keep yourself updated with the latest tools and methodologies used in the industry. Free VLSI Skill Training for you: 

TCL : Click Here 

STA : Click Here

FAQ of Various VLSI Subjects : Click Here

2. Create a strong resume: Highlight your relevant coursework, projects, and any relevant experience you have. Tailor your resume to the specific job you are applying for.

3. Network: Attend industry events, job fairs, and connect with VLSI professionals on LinkedIn. This can help you learn about potential job openings and get your foot in the door.

Join this community (Telegram Group) : Click Here

4. Apply to relevant job openings: Look for job openings at companies that specialize in VLSI design, semiconductor manufacturing, or electronic design automation (EDA) software. Apply to multiple opportunities and make sure to follow up with each company.

Use this method : Click here

Deal with Interview Blues : Click here

5. Prepare for interviews: Practice common interview questions and be prepared to talk about your relevant skills and experience. Show your enthusiasm for VLSI and highlight any relevant coursework or projects.

Watch this video for further guidance : 

It's important to note that getting a VLSI job through off-campus placements may take more time and effort compared to on-campus placements or through referral. However, with persistence and a focused job search strategy, you can find exciting and rewarding job opportunities in this field.


Courtesy : Image by WOKANDAPIX from Pixabay

Jul 31, 2023

VLSI Design Methodology and Y Chart


Integrated Circuit designing is a time and effort extensive process. Journey of a chip starts with a specification and reach to the stage of tape out through set of steps. All steps are very much well defined and in sync with adjacent steps. With time technology moves forward and feature size of transistor has reduced although the basic structure of the design methodology remain same. With time new sub-steps have been added. In this article we will discuss the methodology of chip designing and impact of Y chart on it. 

Design Methodology:



Fig 1 : Iteration in Design 

Designer starts with a set of initial spec. Most often such specification is incomplete and sometimes inaccurate also. 
Designer makes a number of design decisions both in terms of logic design and physical design. In the mean time he also modifies or refines the specifications until a final design is reached (Fig 1) . In VLSI usually hierarchy method is followed. The hierarchy approach divides a module into sub- modules and then repeats this process on the sub-modules till we reach manageable smaller parts. 

There are two approaches : 

i.   Top Down Design

ii.  Bottom Up Design . 

Design process is a combination of top-down and bottom-up processes that are happening concurrently in the designer's mind until he reaches a final correct design.

Hierarchical Design Approach:



                                 
Fig 2:  Top-Down Design 

Top-down designTop-level block is designed first and then comes the sub-blocks. Further the sub-blocks are subdivided until the procedure reach leaf cells. Initial design steps are quite abstract and theoretical and there is no real connection with Silicon.


Fig 2:  Bottom-Up Design 

Bottom -up design : Starts at the silicon/circuit level and builds units like logic gates, adders, and registers as the first steps. Then gradually group them up to build modules and finally the whole design is completed.

Design Hierarchies: 

There are three major design hierarchies:

 1. behavioral hierarchy

 2. structural hierarchy

 3. physical hierarchy

The mapping of a behavior into a structural hierarchy is logic design process. The mapping of a structure into physical hierarchy is physical design process. Behavior and structural design processes go on concurrently, while the physical design process is done separately.  Physical design leads to iterations in behavioral, structural hierarchies during a design. This hierarchical behavior design process provides for a iterative refinement of the initial design specification as shown in Fig 1.

Y -diagram:


                                     Fig 4 : Y -diagram 

In Y chart we can plot  behavioral , structural and physical domain along with their sub-steps. It helps us understand digital hardware design. 

3 Axes represent the three domains of VLSI :

Behavioral: This domain is all about what a particular system does.

Structural:   This domain is about how entities are connected together.

Physical :    This domain is about how to build a structure on Si that has the required connectivity to implement the behavior. 

5 concentric circles represent five levels of importance or detail in the design: System, Algorithm, Register Transfer, Logic, Circuit Level. Outermost circle is the most general and each circle closer to the center, represents a smaller and more specific part of the design. The five main characteristics at each level of  abstraction are basic building blocks, signal representation, time representation, behavioral representation and physical representation.

Domain & Levels :

Behavioral domain is all about how a system functions. We imagine a part of the design as a mysterious black box and focus on the relationship between inputs outputs. In structural domain we describe a system by its different parts or subsystems. Geometrical or Physical domain gives information on how the sub-parts can be seen in structural domain.  System level is highest level of abstraction. Circuit Level is the innermost level of abstraction.

Regularity, Modularity & Locality :

Hierarchical design approach reduces the design complexity by dividing the large system into several sub-modules. Such decomposition of a large system results in simple and similar blocks. This is called Regularity.

Functional blocks which make up the larger system must have well-defined functions and interfaces. This is called ModularityModularity allows that each block or module can be designed relatively independently from each other. All of the blocks can be combined with ease at the end of the design process, to form the large system. The concept of modularity enables the parallelization of the design process.

Locality is ensuring that connections are mostly between neighboring modules, avoiding long-distance connections as much as possible.

Design and Development :

                    Fig 4 : Y -diagram & Design Development

Design flow starts from the algorithm describing the behavior of the target chip. Corresponding architecture of the processor is first defined and mapped onto the chip surface by floor planning. Next in the behavioral domain finite state machines (FSMs) are defined and structurally implemented with functional modules such as registers and arithmetic logic units (ALUs). These modules are then geometrically placed onto the chip surface using CAD tools for automatic module placement followed by routing with minimum possible interconnects and signal delays. Next behavioral description of modules are defined and implemented with leaf cells.

At this stage the chip is described in terms of logic gates or leaf cells. Leaf cells are placed and interconnected by using a cell placement & routing program. At the last level detailed Boolean description of leaf cells are followed by a transistor level implementation of leaf cells. Finally mask for the design is generated. In standard-cell based design, pre- designed cells from library are used for logic design.

Design Stages :


                  Fig 5 : Y -diagram & Design Steps

Design steps grouped in different categories:

1. Logic design : Written in C or behavioral HDL/Verilog.

2. Simulation :    Functional simulations is to verify the behavior and compliance with the Spec.

3. Synthesis : Hardware description is converted into lower level description e.g. logic or Boolean expression. Some of the main steps are: high level synthesis , Register transfer level synthesis, gate level synthesis and technology mapping.

4. Physical design : Structural description is converted into physical placement of transistor resulting in layout.

5. Verification : Checking whether a design meets the specification and performance parameters. Three main ways to check the correctness of the IC without fabricating it includes prototyping, simulation and formal verification.

6. Testing : Checking is done to see whether the device is properly fabricated or not and detecting the physical defects of a die or a package during manufacturing process.

Some of the design tasks are clearly visualized as transitions in the Y-chart either within a single domain or from one domain to another (Fig 5).


                        Fig 6 : Design Steps


Courtesy : Image by www.pngegg.com

Jul 15, 2023

Chiplet Based IC Design

Chiplets are a hot topic in the semiconductor industry now. According to many multi chip module and SiP or System in package are game changers. Its a paradigm shift for designers and consumers. In this article we will discuss about chiplet and chiplet based design, their advantages and challanges. 

Chiplet :

A chiplet is a tiny integrated circuit with subset of total functionality and designed to work along side many other similar chiplets to form larger chip with complex functionality. A set of chiplets can be implemented in a mix-and-match fashion and that approach has several advantages over a traditional system on chip.  Chiplets are usually reusable IP blocks. Chiplet is a hot topic in VLSI now and  Chiplet based design has already hit the market.  AMD’s Ryzen, Ryzen Threadripper & Epyc CPUs are based on the company’s Zen architecture, examples of retail-ready products that contain chiplets.


Fig 1 : Chiplet based design

Monolithic vs. MCM :

Fig 2 : Monolithic vs. MCM

In a monolithic IC , many functions are built on same silicon whereas in a chiplet based design, multiple chiplet , each with smaller subset of bigger functionality and feature are integrated together to form a more complex and bigger system to achieve bigger functionality and list of features.  Such an assembly is called  MCM (multi-chiplet module). MCM does not have to be a complete system rather it’s a tightly coupled subsystem or module in a package. 

Advantages of MCM : 

Chiplets can be designed in the optimum process node (performance, power, cost) for the particular function and/or feature Size and yield. When Monolithic Si has reached its limit and chiplets are much easier and cheaper to manufacture. Chiplet based design allows integration of potentially incompatible semiconductor materials, such as GaN, SiC etc on same system. Chiplets can be re-used over multiple products and projects. Designer can select the right combination of chiplets for their needs.  Non recurring engineering cost is less for chiplet designs. 

Various MCMs :

                             Fig 3 : Various MCMs

There are two types of MCMs :  

i.  Homogeneous ,

ii. Heterogeneous.

Homogeneous MCMs, contains chiplets from only a single chip maker. An example would be AMD Ryzen Zen 3 processors.

Heterogenous chiplet MCM contains chiplets from multiple manufacturers, often made in different facilities on different process nodes. Heterogenous MCM might include a combination of CPU, GPU, NPU, FPGA,  and/or special purpose chiplets. Chip consumers can assemble the optimum selection of chiplets into a heterogenous chiplet MCM for their needs, and  chiplet suppliers can manufacture their chiplets in the ideal location/node for price, performance, and area.

Heterogeneous Integration:


                         Fig 4 : System-In-Package

Heterogeneous Integration is integrating individually designed, and fabricated components. Those components are assembled on the substrate layer called interposer. The final objective is to develop a system which perform a function like an SoC. Such a a higher-level assembly called System in Package (SiP). SiP can be a vertical stacking (3D) or adjacent placement (2.5D) of chiplets on the substrate layer called an interposer. Intel Agilex, AMD EPYC are the commercially available heterogeneous 3D SiP.

SiP provides greater functionality and achieves better-operating characteristics which are challenging to achieve on a single die SoC.


Motivation for Heterogeneous Integration :


                             Fig 5 : 2.5D and 3D package

Heterogeneous Integration offer technical, functional and material diversity.  Chiplets are used from a matured process node so the development cost of SiP is reduced. Due to the incorporation of known good dies (KGD) manufacturing yield is high.  Moreover post-silicon validation is rarely required. Since Moore’s law has reached its limitation, increasing Functional density instead of scaling of transistor could lead to better performance.  Innovations in packaging and design like heterogeneous integration is very promising in this context. With 3D packaging technology, CPU and memory dies can be stacked, allowing increased memory bandwidth and decreased transmission latency as the dies have much shorter interconnects.  The 2.D and 3D packaging paradigms have led to smaller area and size requirements.


Challenges of Heterogeneous Integration:


Fig 5 : Challenges of Het. Integration

One big challenge in SiP design is the interfacing of chiplets due to the variety of chiplets and I/O interfaces. Ultra Short Range serdes is suitable for high-speed inter-connection in Die-to-Die communication via 2.5D and 3D packaging technologies.  Although the transmission distance of USR hinders the large-scale integration of chiplets. Universal Chiplet Interconnect Express (UCIe) is an open industry standard interconnect that provides chiplets with high-bandwidth, low-latency, power efficient, and cost-effective on-package connectivity. Heterojunction Integration can increase the overall power density of the SiP, which can, in turn, increase total package power dissipation. Power is generally dissipated as heat, and it can increase thermal cross-talk, and temperature-sensitive components need further thermal isolatio.  Since chiplets are sourced from different makers, a question arise who takes ownership in root  cause analysis and fixes once sold to third party. That a concern from business point of view.  Secure Heterogeneous Integration is a concern.  Security both at Chiplet and interposer level is required. Strong security policy can protect integrity and confidentiality of any IP.   

SoC vs. SiP:



                                   Fig 6 : SoC vs SiP


Courtesy : Image by www.pngegg.com